Wide Operational Range Processor Power Delivery Design for Both Super-Threshold Voltage and Near-Threshold Voltage Computing
He, Xin1,2; Yan, Gui-Hai1; Han, Yin-He1; Li, Xiao-Wei1
刊名JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY
2016-03-01
卷号31期号:2页码:253-266
关键词voltage regulator power delivery near-threshold computing multicore processor
ISSN号1000-9000
DOI10.1007/s11390-016-1625-7
英文摘要The load power range of modern processors is greatly enlarged because many advanced power management techniques are employed, such as dynamic voltage frequency scaling, Turbo Boosting, and near-threshold voltage (NTV) technologies. However, because the efficiency of power delivery varies greatly with different load conditions, conventional power delivery designs cannot maintain high efficiency over the entire voltage spectrum, and the gained power saving may be offset by power loss in power delivery. We propose SuperRange, a wide operational range power delivery unit. SuperRange complements the power delivery capability of on-chip voltage regulator and off-chip voltage regulator. On top of SuperRange, we analyze its power conversion characteristics and propose a voltage regulator (VR) aware power management algorithm. Moreover, as more and more cores have been integrated on a singe chip, multiple SuperRange units can serve as basic building blocks to build, in a highly scalable way, more powerful power delivery subsystem with larger power capacity. Experimental results show SuperRange unit offers 1x and 1.3x higher power conversion efficiency (PCE) than other two conventional power delivery schemes at NTV region and exhibits an average 70% PCE over entire operational range. It also exhibits superior resilience to power-constrained systems.
资助项目National Natural Science Foundation of China[61572470] ; National Natural Science Foundation of China[61532017] ; National Natural Science Foundation of China[61522406] ; National Natural Science Foundation of China[61432017] ; National Natural Science Foundation of China[61376043] ; National Natural Science Foundation of China[61221062]
WOS研究方向Computer Science
语种英语
出版者SCIENCE PRESS
WOS记录号WOS:000372154100003
内容类型期刊论文
源URL[http://119.78.100.204/handle/2XEOYT63/8666]  
专题中国科学院计算技术研究所期刊论文_英文
通讯作者He, Xin; Yan, Gui-Hai; Han, Yin-He; Li, Xiao-Wei
作者单位1.Chinese Acad Sci, Inst Comp Technol, State Key Lab Comp Architecture, Beijing 100190, Peoples R China
2.Univ Chinese Acad Sci, Beijing 100049, Peoples R China
推荐引用方式
GB/T 7714
He, Xin,Yan, Gui-Hai,Han, Yin-He,et al. Wide Operational Range Processor Power Delivery Design for Both Super-Threshold Voltage and Near-Threshold Voltage Computing[J]. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY,2016,31(2):253-266.
APA He, Xin,Yan, Gui-Hai,Han, Yin-He,&Li, Xiao-Wei.(2016).Wide Operational Range Processor Power Delivery Design for Both Super-Threshold Voltage and Near-Threshold Voltage Computing.JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY,31(2),253-266.
MLA He, Xin,et al."Wide Operational Range Processor Power Delivery Design for Both Super-Threshold Voltage and Near-Threshold Voltage Computing".JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY 31.2(2016):253-266.
个性服务
查看访问统计
相关权益政策
暂无数据
收藏/分享
所有评论 (0)
暂无评论
 

除非特别说明,本系统中所有内容都受版权保护,并保留所有权利。


©版权所有 ©2017 CSpace - Powered by CSpace